A. AGARWAL, L. PELAZ, H-H. VUAONG, P. PACKAN, M. KASE, "MRS 610 - Symposium B - Si Front-End Processing Physics and Technology of Dopant-Defect Interactions II", April 24-28, 2001.
Copyright - [Précédente] [Première page] [Suivante] - Home

Fiche : [LIVRE264]

Titre : A. AGARWAL, L. PELAZ, H-H. VUAONG, P. PACKAN, M. KASE, MRS 610 - Symposium B - Si Front-End Processing Physics and Technology of Dopant-Defect Interactions II, April 24-28, 2001.

Cité dans :[LIVRE110] TREECE R.E., JONES R.E., FOSTER C.M., DESU S.B., YOO I.K., MRS 493 - Ferroelectric thin film VI.
Cité dans :[LIVRE166] S.B. DESU, R. RAMESH, B.A. TUTTLE, R.E. JONES, I.K. YOO, MRS 433 - Ferroelectric thin film V.
Cité dans :[LIVRE196] R.E. JONES, R.W. SCHWARTZ, S.R. SUMMERFELT, MRS 541 - Ferroelectric thin film VII.
Cité dans :[LIVRE197] H.-J L. GOSSMANN, T. E. HAYNES, M. E. LAW, A. N. LARSEN, S. ODANAKA, MRS 568 - Si Front-End Processing - Physics and Technology of Dopant-Defect Interactions.
Editor : A. Agarwal
Editor : L. Pelaz
Editor : H-H. Vuong
Editor : P. Packan
Editor : M. Kase

Info : 2000 Spring Meeting - MRS Proceedings Volume 610
Site : http://www.mrs.org/publications/epubs/proceedings/spring2000/b/
Stockage : Bibliothèque LMP
Info. : LMP2001-xx,
Mots_clef : Silicium

Référence : 2001 xx / LMP
Date_d'achat : 14 juin 2001
Prix_H.T. : gratuit

Junctions For Deep Sub-100 nm MOS: How Far Will Ion
MRS Home Implantation Take Us? B1.2
H.-J. Gossmann, C.S. Rafferty, and P. Keys

SSRM and SCM Observation of Enhanced Lateral As- and BF2-Diffusion Induced
by Nitride Spacers B2.2
P. Eyben, N. Duhayon, C. Stuer, I. De Wolf, R. Rooyackers, T.
Clarysse, W. Vandervorst, and G. Badenes

Increasing the Lateral Resolution of Scanning Spreading
Resistance Microscopy B2.4
R.J. Kline, J.F. Richards, and P.E. Russell

Ultrashallow Junction Formation and Gate Activation In
Deep-Submicron CMOS B3.1
P.A. Stolk, F.N. Cubaynes, V.M.H. Meyssen, G. Mannino, N.E.B.
Cowern, J.P. van
Zijl, F. Roozeboom, J.F.C. Verhoeven, J.G.M. van Berkum, W.M.
van de Wijgert, J.
Schmitz, H.P. Tuinhout, and P.H. Woerlee

The Application of Solid Source Diffusion in the Vertical
Replacement-Gate (VRG) MOSFET B3.2
Sang-Hyun Oh, J.M. Hergenrother, Don Monroe, T. Nigam, F.P.
Klemens, A. Kornblit, W.M. Mansfield, F.H. Baumann, H.J.
Gossmann, C.A. King, R.N. Kleiman, H-H. Vuong, G.R. Weber, and
C.S. Rafferty

Activation of Implanted Poly Gates by Short Cycle Time
Annealing B3.3
A.T. Fiory and K.K. Bourdelle

Efect of N+ Ion Implantation and Gox Process on In and B
Channel Profile B3.4
G. Curello, R. Rengarajan, J. Faul, H. Wurzer, J. Amon, T.
Gaertner, D. Henke, M. Schmeide, and A. Kieslich

Dopant Diffusion in Silicon Substrate During Oxynitride Process
B3.5
Nobutoshi Aoki, Toshitake Yaegashi, Yuji Takeuchi, Makoto
Fujiwara,
Naoki Kusunoki, Tsutomu Sato, Ichiro Mizushima, Yoshitaka
Tsunashima,
Hiroaki Hazama, Seiichi Aritome, Riichiro Shirota, and Takashi
Shimizu

Low Energy Implantation of Boron with Decaborane Ions B3.6
Maria A. Albano, Vijay Babaram, John M. Poate, Marek Sosnowski,
and Dale C. Jacobson

Ultra­Shallow p + /n Junction Formed by Plasma Ion Implantation
B3.7
Sungkweon Baek, Chel-Jong Choi, Tae-Yeon Seong, Hyunsang Hwang,

H.K. Kim, and D.W. Moon

Cryo-Implantation Technology for Controlling Defects and
Impurity Out Diffusion B3.8
Atsushi Murakoshi, Kyoichi Suguro, Masao Iwase, Mitsuhiro
Tomita, and Katsuya Okumura

Post-Oxidation Enhanced Diffusion of Low-Energy Implanted Boron
in Ultra-Shallow P+/N Junctions Formation B3.9
D. Lenoble, A. Halimaoui, and A. Grouillet

Analysis and Suppression of Process-Induced Defects in Memory
Devices B3.10
R. Annunziata, R. Bottini, P. Colpani, C. Cremonesi, G.
Ghidini, E. Gomiero, G. Pavia, F. Pio, M.L. Polignano, G.
Servalli, and V. Higgs

A Historical View of The Role of Ion-Implantation Defects in PN
Junction Formation For Devices B4.1
R.B. Fair

Junction Depth Reduction of Ion Implanted Boron in Silicon
Through Fluorine Ion
Implantation B4.2
L.S. Robertson, P.N. Warnes, K.S. Jones, S.K. Earles, M.E. Law,
D.F. Downey,
S. Falk, and J. Liu

A Comparative Study of Dose Loss and Diffusion for B11 and BF2
Implants B4.3
Reza Kasnavi, Peter B. Griffin, and James D. Plummer

Ultra-Shallow Junction Formation Via GeB - Ion Implantation of
Si B4.5
Xinming Lu, Lin Shao, Jianyue Jin, Qinmian Li, I. Rusakova,
Q.Y. Chen, Jiarui Liu, Wei-Kan Chu, and Peiching Ling

Effect of Ge Pre-Amorphization on Junction Characteristics for
Low Energy B Implants B4.6
Jinning Liu and Sandeep Mehta

Understanding and Modeling Ramp Rate Effects on Shallow
Junction Formation B4.8
Srinivasan Chakravarthi, Alp H. Gencer, Scott T. Dunham, and
Daniel F. Downey

Furnace and RTA Injection of Point Defects Into CVD-Grown B
Doped Si and SiGe B4.9
Janet M. Bonar, Barry M. McGregor, Nick E.B. Cowern, Aihua Dan,
Graham A.
Cooke, and Arthur F.W. Willoughby

Quantitative Measurement of Interstitial Flux and Surface
Super-Saturation During
Oxidation of Silicon B4.10
M. S. Carroll and J. C. Sturm

What Does Self-Diffusion Tell Us About Ultra Shallow Junctions?
B4.11
Ant Ural, Serene Koh, P.B. Griffin, and J.D. Plummer

The Source of Transient Enhanced Diffusion in Sub-keV Implanted
Boron in Crystalline Silicon B5.2
E. Napolitani, A. Carnera, V. Privitera, E. Schroer, G.
Mannino, F. Priolo, and S. Moffatt

A Study of Boron Clustering Transients and Mechanisms in Doped
Silicon B5.4
Aaron D. Lilak, Viswanath Krishnamoorthy, David Vieira, Mark
Law, and Kevin
Jones

Clusters and Planar Defects in Boron Implanted Silicon: An
X-ray Diffuse Scattering Study B5.5
I. Kegel, M. Sztucki, T. H. Metzger, D. Lubbert, J. Arthur, and
J.R.Patel

Vacancy Enhanced Boron Activation during Room Temperature
Implantation and
Low Temperature Annealing B5.6
Jian-Yue Jin, Irene Rusakova, Qinmian Li, Jiarui Liu, and
Wei-Kan Chu

Boron Implantation Into Silicon Subject to Hydrogen Plasma B5.7
Sanjay Rangan, Mark Horn, and S. Ashok

The Effect of Impurities on Diffusion and Activation of Ion
Implanted Boron in Silicon B5.8
L.S. Robertson, R. Brindos, K.S. Jones, M.E. Law, D.F. Downey,
S. Falk, and J. Liu

Effect of Nitrogen Implants on Boron Transient Enhanced
Diffusion B5.9
Omer Dokumaci, Paul Ronsheim, Suri Hegde, Dureseti
Chidambarrao, Lahir Shaik-Adam, and Mark E. Law

Boron Diffusion Mechanism in Silicon Oxide Using Ab Initio
Methods B5.11
V. Zubkov, J.P. Senosiain, S. Aronowitz, V. Sukharev, and C.B.
Musgrave

A Molecular Dynamics Study of Low-Energy Argon and Boron
Implants
on Silicon (100) Vicinal Surfaces Stepped Surface B6.1
A.M. Mazzone

An Analytical Model for Field-Enhanced Diffusion of Ionized
Impurities in
Highly Doped Si B6.3
Bogdan S. Sokolovskii, Liubomyr S. Monastyrskii, and Roman M.
Kovtun

Dopant-Extended Defects Interactions: The Case of Aluminum B6.4
Ch. Ortiz, D. Mathiot, Ch. Dubois, D. Alquier, and R. Jérisian

Phosphorus/Silicon Interstitial Annealing After Ion
Implantation B6.6
P.H. Keys, R. Brindos, V. Krishnamoorthy, M. Puga-Lambers, K.S.
Jones, and M.E. Law

Energy Dependence of Transient Enhanced Diffusion and {311}
Defect Kinetics B6.7
Hugo Saleh, Mark E. Law, Sushil Bharatan, Kevin S. Jones, Wish
Krishnamoorthy,
and Temel Buyuklimanli

Depth Profiles of High-Energy Recoil Implantation of Boron Into
Silicon B6.8
Lin Shao, Xinming Lu, Jianyue Jin, Qinmian Li, Irene Rusakova,
Jiarui Liu, and Wei-Kan Chu

Ion Implantation Effect On Dislocation Propagation In
Pseudomorphically Strained P/P+ Silicon B6.10
Petra Feichtinger, Ben Poust, Hiroaki Fukuto, Rajinder Sandhu,
Mark S. Goorsky,
Dwain Oster, Steve F. Rickborn, and Jim Moreland

Non-destructive, In-line Characterization of Shallow Junction
Processes B6.11
G. Jonathan Kluth, Laurie Bechtler, Peter Borden, and Jian Mi

Non-Destructive Characterization Of Activated Shallow Band As
Implants In Full NMOS and PMOS Process Flows B6.12
Peter G. Borden, Laurie Bechtler, Lawrence Larson, Bob Murto,
Billy Covington, Clarence Ferguson, and Billy Nguyen

The Impact of Point Defects on Stress-Induced Dislocation
Generation in Silicon B6.13
Konstantin V. Loiko, Giri Nallapati, Keith M. Jarreau, Shashank
S. Ekbote, Roy A. Hensley, Dale Simpson, Thomas E. Harrington,
William R. Frensley, and Igor V. Peidous

Diffusion Engineering by Carbon in Silicon B7.1
Ulrich Goesele, Pierre Laveant, Rene Scholz, Norbert Engler,
and Peter Werner

The Effect of Carbon/Self-Interstitial Clusters on Carbon
Diffusion in Silicon Modeled by Kinetic Monte Carlo Simulations
B7.2
R. Pinacho, M. Jaraíz, H. J. Gossmann, G.H. Gilmer, J.L.
Benton, and P. Werner

Influence of Carbon on the Diffusion of Interstitials and Boron
in Silicon B7.4
Mark E. Law, Michelle D. Griglione, and Misty Northridge

Clustering Equilibrium and Deactivation Kinetics in As Doped Si
B8.1
Dario Nobili, Sandro Solmi, Jenta Shao, and Marco Merli

Transient Enhanced Diffusion of Arsenic by Self-Implantation:
The Role of As-I Clusters B8.2
Ryangsu Kim, Takenori Aoki, Yoshikazu Furuta, Hiroyuki
Kobyashi, Jianxin Xia, Tomoya Saito, Yoshinari Kamakura and
Kenji Taniguchi

Reaction of Excess Silicon Interstitals in the Presence of
Arsenic and Germanium B8.4
R. Brindos, P. H. Keys, M. Griglione, K. S. Jones, M. E. Law,
Aditya Agarwal, and Ebrahim Andideh

Antimony Clustering Due to High-Dose Implantation B8.5
Kentaro Shibahara and Dai Onimatsu

Calibration of the Au Labeling Technique to Measure Vacancy
Defects in Si B9.2
R. Kalyanaraman and T.E. Haynes, V.C. Venezia, D. C. Jacobson,
H.-J. Gossmann, and C.S. Rafferty

Quantitative Depth Profiles of Vacancy Cluster Defects Produced
by MeV Ion Implantation in Si: Species and Dose Dependence B9.4
R. Kalyanaraman, T.E. Haynes, D.C. Jacobson, H.-J. Gossmann,
and C.S. Rafferty

Study of Vacancy and Impurity Complexes in Si Solid-Phase
Epitaxial Crystallization With Positron Annihilation
Spectroscopy B10.1
Claudine M. Chen, Stefano Rassiga, Marc H. Weber, Mihail P.
Petkov, Kelvin G. Lynn, and Harry A. Atwater

Boron Activation During Solid Phase Epitaxial Regrowth B10.2
C.D. Lindfors, K.S. Jones, M.E. Law, D.F. Downey, and R.W.
Murto

Defect Reduction in Laser Thermal Processing B10.3
Heather Banisaukas, Kevin S. Jones, Somit Talwar, Scott Falk,
and Dan F. Downey

A Physically Based Modeling of Boron TED in Amorphized Si B10.4
Evelyne Lampin, Vincent Senez, and Alain Claverie

Effects of Nonmelt Laser Annealing on a 5keV Boron Implant in
Silicon B10.5
Susan Earles, Mark Law, Kevin Jones, Rich Brindos, and Somit
Talwar

Atomistic Modeling of Complex Silicon Processing Scenarios
B11.1
Martin Jaraiz, Pedro Castrillo, Ruth Pinacho, Lourdes Pelaz,
Juan Barbolla, George H.
Gilmer, and Conor S. Rafferty

Self-Interstitial Clusters in Silicon B11.3
M.M. De Souza, M.P. Chichkine, and E.M. Sankara Narayanan

Monte Carlo Analysis of the Evolution from Point to Extended
Interstitial Type Defects in Crystalline Silicon B11.5
Antonino La Magna, Salvatore Coffa, and Sebania Libertino

On the Energetics of Extrinsic Defects in Si and their Role in
Nonequilibrium Dopant Diffusion B11.6
Alain Claverie, Filadelfo Cristiano, Benjamin Colombeau, and
Nicholas Cowern

Relative Stability of Silicon Self-Interstitial Defects B11.10
G.Subramanian, K.S. Jones, M.E.Law, M.J.Caturla, S.Theiss,
T.Diaz de la Rubia

The Influence of the Initial Supersaturation of Si Interstitial
Atoms on the Relative Thermal Stability of Dislocation Loops in
Silicon B11.11
F. Cristiano, B. Colombeau, B. de Mauduit, F. Giles, M. Omri,
and A. Claverie


Mise à jour le lundi 10 avril 2023 à 18 h 52 - E-mail : thierry.lequeu@gmail.com
Cette page a été produite par le programme TXT2HTM.EXE, version 10.7.3 du 27 décembre 2018.

Copyright 2023 : TOP

Les informations contenues dans cette page sont à usage strict de Thierry LEQUEU et ne doivent être utilisées ou copiées par un tiers.
Powered by www.google.fr, www.e-kart.fr, l'atelier d'Aurélie - Coiffure mixte et barbier, La Boutique Kit Elec Shop and www.lequeu.fr.